Routing

Routing is done after CTS Stage. Here in this stage an exact path for the interconnect of cells (Macros, Std. cells) and I/O pins is determined.Metals and Vias are crested in the layout which is defined by the logical connections present in the netlist.

The tool depends on the information which is present after CTS Stage(like placed cells, blockages, clock tree buffers/Inverters, and I/O Pins) and completes the electrical connections defined in the netlist. This connection should also be in a way by maintaining some rules which are like:

  1. Minimal DRC Violations while routing.
  2. Design is routed to 100% with minimal LVS Violations.
  3. Low SI(Signal Integrity) relates issues.
  4. Good Timing QoR.
  5. Meeting the timing DRC.

Metal Route

What are SI-related Issues?

Goals of Routing

  1. Minimize the total wire length
  2. Minimize the critical path delay
  3. Minimizing the number of Vias
  4. Low Congestion hotspots
  5. Meeting Timing DRC’s and obtaining Good Timing QoR

Routing Prerequisites

  1. All the design rules required for routing are to be defined in the Technology file.
  2. The design must be placed and optimized. CTS and optimization should be complete.
  3. PG nets must be pre-routed and physically connected to macros and std. cells.
  4. Timing DRC Violations and QoR have to be acceptable. If not Timing has to be worked before coming to the routing stage. After routing, there is a high chance of timing to get degraded very badly(Setup and Hold).
  5. High Fanout Nets should not be greater than the specified limit.
  6. Check if all the pins are on the routing tack. If not they have to be placed on a track.
  7. Check if there are any blocked ports. If yes, unblock them for routing.
  8. Check for overlapping of cells in the design. The overlap will cause shorts and DRC violations in the design.
  9. Check if any ports/pins of the cells are blocked.

Routing Constraints

  1. Routing constraints are required to guide the tool while performing routing.
  2. The constraints to be set are as follows-
  3. Set constraints to the number of layers to be used during routing.
  4. Set the maximum length for the routing wires.
  5. Set stringent guidelines for minimum width and minimum spacing. (If not DRC is violated)
  6. Set preferred routing directions to specific metal layers during routing. (If M10 has to be vertical/ Horizontal)
  7. Avoiding off-grid routing.
  8. Blocking routing in some specific regions. (Likewise when blockages are present)
  9. Checking for routing density.
  10. Avoiding the degree of rerouting.
Subscribe
Notify of
guest
0 Comments
Inline Feedbacks
View all comments